「tsuy and buyobuyon」の編集履歴(バックアップ)一覧はこちら

tsuy and buyobuyon」(2009/06/07 (日) 10:59:44) の最新版変更点

追加された行は緑色になります。

削除された行は赤色になります。

**コンパイラ組み込み関数 tsuyさんのページにいろいろ書き込んでいるうちに書き込める量の限界に達してしまったので、 コンパイラに組み込んだ浮動小数点数演算関数に関して、以前私が書き込んだ部分を こちらに移動しました。 - ええと、ライブラリの件なのですが、&br()まず、浮動小数点数演算の&br()&br()atan : type(fun type(float) -> type(float))&br()cos : type(fun type(float) -> type(float))&br()sin : type(fun type(float) -> type(float))&br()&br()は完全にお任せします(とりあえずはもうできているのでしたよね)。&br()それから、&br()&br()int_of_float : type(fun type(float) -> type(int))&br()float_of_int : type(fun type(int) -> type(float))&br()fless : type(fun type(float) * type(float) -> type(bool))&br()&br()は、FPUで実装されているので、コンパイラの拡張ですぐ対応できます。&br()それから、&br()&br()fiszero : type(fun type(float) -> type(bool))&br()fispos : type(fun type(float) -> type(bool))&br()fisneg : type(fun type(float) -> type(bool))&br()fabs : type(fun type(float) -> type(float))&br()fneg : type(fun type(float) -> type(float))&br()fhalf : type(fun type(float) -> type(float))&br()fsqr : type(fun type(float) -> type(float))&br()floor : type(fun type(float) -> type(float))&br()&br()は、簡潔なので、私が入出力命令と一緒にアセンブリ言語で記述するなり、&br()コンパイラ内部での変換なりで対処しておきます。&br()それらに関して、ちょっと仕様の確認をしたいのですが、&br()fisposとfisnegは0レジスタとの比較で良いですよね。&br()fabs/fnegは基本的には最上位ビット0/反転で良いと思うのですが、&br()引数が0のときはどうしましょう?&br()今のFPUでは、いわゆる-0が入力された場合に結果はどうなります?&br()あと、fiszeroはfabs→0レジスタとの比較で、&br()fsqrは同じ値を引数としたfmulで、&br()floorはftoiとitofの合成で良いですよね。&br()fhalfは…、÷2.0にするのは遅すぎる…?&br()仮数部のみデクリメントってもっと厄介ですよね…。&br()&br()あと、浮動小数点数演算以外に関しては、&br()&br()xor : type(fun type(bool) * type(bool) -> type(bool))&br()read_float : type(fun type(unit) -> type(float))&br()read_int : type(fun type(unit) -> type(int))&br()print_int : type(fun type(int) -> type(unit))&br()&br()いずれも対応する命令が存在するので、コンパイラの拡張ですぐ対応できます。あと、&br()&br()print_char : type(fun type(int) -> type(unit))&br()&br()が何者だかいまいち把握していないのですが…。&br()wordアラインメントだからprint_intと一緒にするしかありませんよね…。 -- buyobuyon (2007-03-02 15:49:50) - fnegは0から引けばよかったのでしたね…。&br()コンパイラのアセンブリコード生成部分を作成したときにはそういう変換をしていたのに…。&br()&br()absは、もし最上位ビット反転という方針がだめな場合、&br()例えば、fnegしたものと、もとの値のandをとるという方針なら大丈夫ですよね? -- buyobuyon (2007-03-02 15:56:36) - もうひとつボケをかましていましたね…。&br()fhalfは÷2.0より×0.5ですね…。&br()&br()あともう1つ。現在、コンパイラでは、&br()fequalを通常の整数の比較で置き換えてしまっているのですが、&br()これは大丈夫ですよね? -- buyobuyon (2007-03-02 16:09:26) - >fabs/fnegは基本的には最上位ビット0/反転で良いと思うのですが、&br()>引数が0のときはどうしましょう?&br()>今のFPUでは、いわゆる-0が入力された場合に結果はどうなります?&br()FMULでは+0が出力されます。&br()FADD,FSUBでは+0と-0の区別はつけず、また、出力が0となる場合は必ず&br()+0になります。(多分…)&br()FLESSはご存知の通り-0<+0の判定に使います。&br()FTOIは+0の場合と処理は同じです。&br()FINVSQRTは非正規化数の処理がいらないということなので、挙動は未定義です。&br()FPUの出力の0はすべて+0となっているはずなので、FLESS絡みで問題がなければ&br()fabsは最上位ビット0でいいような気がします。&br()fisneg(-0.0)はtrueでいいんですかね? -- tsuy (2007-03-02 18:35:21) - >floorはftoiとitofの合成で良いですよね。&br()floorって確か小数点未満切捨てですよね?&br()FTOIの結果は小数点未満四捨五入としているので問題になる気がするのですが、&br()FPUのFTOIを切り捨てにしたほうがいいですかね?&br()(そっちのほうが速くなるので好都合だったりしますが)&br()&br()>print_char : type(fun type(int) -> type(unit))&br()>が何者だかいまいち把握していないのですが…。&br()すみません、僕もよく分かりません…。 -- tsuy (2007-03-02 18:42:40) - >absは、もし最上位ビット反転という方針がだめな場合、&br()>例えば、fnegしたものと、もとの値のandをとるという方針なら大丈夫ですよね?&br()なるほど、それなら大丈夫ですね。&br()&br()>fequalを通常の整数の比較で置き換えてしまっているのですが、&br()>これは大丈夫ですよね?&br()大丈夫だと思います。 -- tsuy (2007-03-02 18:54:22) - fequalの話で思いついたんですが、丸めを切捨てにしてしまったので、&br()(1.0/3.0)*3.0と1.0が等しくならないんですよね…&br()FMULだけでも丸めを切り上げにしたほうがいいだろうか… -- tsuy (2007-03-02 18:58:12) - なるほど…。+0.0と-0.0がきわどいですが、とりあえず、&br()&br()fiszero → rd := (rs AND 0x7fffffff) >. +0.0&br()fispos → rd := rs >. +0.0&br()fisneg → rd := rs <. -0.0&br()fabs → rd := rs AND 0x7fffffff&br()fneg → rd := +0.0 -. rs&br()fhalf → rd := rs *. +0.5&br()fsqr → rd := rs *. rs&br()&br()としてみます。&br()floorをどうするか…。&br()floorって切り捨てというよりも、~を超えない最大の整数を表すfloat値を&br()返す演算でしたよね、正負で非対称な…。&br()コンテストルールがあるので、ftoiの仕様を変えるわけにもいきませんし…。一応、&br()&br()floor → r1 := ftoi(rs); r1 := itof(r1); rd := rs <. r1; rd := itof(rd); rd := r1 +. rd&br()&br()とすると、正しい値が求まりそうですが(そうでもない?)、命令数が…。&br()あとは…、0.5引いて四捨五入、みたいなアバウトなことが許されるのであれば&br()速くなりそうですが…。何かいい方法はないですかね…。&br()&br()あと、FPUで提供する演算、やっぱりFINVSQRTではなくてFSQRTの方にしてもらえます?&br()たぶんその方が高速かつ楽なので(特にFINVSQRTをFSQRTとFDIVの合成として求めているのであればなおさら)。&br()FINVSQRTのライブラリでの実装も任意で良いようです。&br()何か、たくさん実装してもらった後で申し訳ないのですが…。 -- buyobuyon (2007-03-02 20:30:25) - FSQRTですか…&br()以前開平法で実装したものはサイズ、速度ともに実用的ではなかったので、&br()また一から作ることになると思いますが、コンテストまでに間に合うだろうか…&br()それからサイズの方も、今のFINVSQRTを超えると完全にオーバーしてしまう&br()という不安があります。&br()&br()しかしどうやって実装しよう… -- tsuy (2007-03-02 22:08:00) - >FINVSQRTをFSQRTとFDIVの合成として求めているのであればなおさら&br()すみません、これはどういうことでしょうか? -- tsuy (2007-03-02 22:12:34) - FPUにFSQRTをのせる場合、逆数や割り算はどうなります?&br()ライブラリで作ったINVでは少々遅いので、やはりFPUには&br()FINVSQRTがいいような気がしないでもないのですが… -- tsuy (2007-03-03 15:56:18) - >>FINVSQRTをFSQRTとFDIVの合成として求めているのであればなおさら&br()>すみません、これはどういうことでしょうか?&br()あれ?私、何か勘違いしていました?&br()↓に&br()>・FINVSQRT &br()> -SQRTとFDIVで計算 &br()と書いてあったので、&br()FINVSQRTは、内部でFSQRTとFDIVを組み合わせているだけなのかなと思ったのですが。&br()だとすれば、FSQRTの方がFINVSQRTよりは速いわけですよね。&br()&br()min-rtでは、SQRTのみ使用されていて、INVとINVSQRTは直接使用されてはいないので、&br()SQRTではなくINVSQRTがFPUで提供された場合には、&br()コンパイラ内部では、&quot;1 ./ SQRT(x)&quot;をINVSQRT(x)に変換し、&br()それ以外の&quot;SQRT(x)&quot;をINV(INVSQRT(x))に変換することで高速化を図るわけですが、&br()よくよくmin-rtを見ると、&br()&quot;1 ./ SQRT(x)&quot;を求める場合でも、直前or直後に別の用途で&br()&quot;SQRT(x)&quot;を使用している場合が多いのですよ。&br()例えば、min-rtには、&br()&br() let l = sqrt (fsqr v.(0) +. fsqr v.(1) +. fsqr v.(2)) in&br() let il = if fiszero l then 1.0 else if inv then -1.0 /. l else 1.0 /. l in …&br()&br()という部分があって、まぁfiszero lは、fiszero (fsqr v.(0) +. fsqr v.(1) +. fsqr v.(2))に変えても&br()一般的に問題はないはずですが、一応意味の違う文面ですし、またコンテストルールに&br()&br()>非最適化実行の場合と同一オペランドの浮動小数点演算が&br()>同一回数行われなければならない。ただし、(2)については定数&br()>割り算最適化(後述)、冗長性除去、定数畳み込みは例外とする&br()&br()とあるので、この変換はちょっときわどいかなと思いまして。&br()&br()とすると、結局INVSQRTしたものだけではなくSQRTしたものも求める必要があります。&br()&br()INVSQRT(x)とSQRT(x)の両方を求める必要があるとしたら&br()SQRTがFPUで求まったいた方が速く(速いですよね?)、&br()またプログラムの文面そのままで変換すれば良いので私の方も楽にはなるのですが…。&br()&br()あ、でも、上の話はFPUでFINVSQRTは内部でFSQRTとFDIVを組み合わせることで実装している、というのを前提にしていたので、&br()そうでないのであれば実装し直すのも大変ですし、このままFINVSQRTでやってみます。 -- buyobuyon (2007-03-03 17:14:42) - なるほど、分かりました。&br()&br()下のほうでFSQRTとFDIVの合成となっているのは、11月にそのようにして実装したものが&br()実用的な性能ではなかったのでニュートン法で実装しなおしたという経緯があるのですが、&br()その際にwikiの記述を直し忘れていたという私のミスです。すみませんでした。&br()&br()FSQRTは開平法では前述の通り性能が悪く、ニュートン法では割り算をする必要があるため&br()どうしても遅くなるので、ニュートン法のFINVSQRTを入れたほうが良いよねというお話を&br()した記憶があります。また、FSQRTを採用するとFPUのサイズ的にINVをライブラリで実現&br()せざるをえないと思うのですが、それだとやはり遅くなる気がします。FINVSQRTを使えば&br()その結果を2つ掛けるだけですし。&br()&br()時間的余裕があればFSQRTもやってみたいのですが2週間では多分無理なので、FINVSQRT&br()を使う方向でお願いします。 -- tsuy (2007-03-03 19:04:26) - あ、了解です。&br()私が勘違いしていただけなので、FSQRTは実装し直さなくて良いですよ。&br()&br()なら、結論として、&br()FPUで実装されるのは、FADD/FSUB/FMUL/FINV/FINVSQRT/FTOI/ITOF/FLESSの8つで、&br()コンパイラの方では、&br()&br()(x ./ y) → (x *. (inv y))&br()(sqrt x) → (inv (invsqrt x))&br()&br()とし、(実装する時間があれば)最適化のステップで&br()&br()(inv (inv x)) → x&br()&br()という変換をすれば良いのですよね。&br()&br()コンパイラは直接の関係はないですが、OPCODEってどうなってます? -- buyobuyon (2007-03-03 19:36:24) - FPUで実装されるのはFADD/FSUB/FMUL/FINVSQRT/FTOI/ITOF/FLESSの7つです。&br()上のほうで誤解させてしまう書き方をしてしまいましたね。すみません。&br()&br()FINVは、すぐ下にあるとおり基盤の18×18の乗算器の数が足りないというのと、&br()他の命令のパイプライン化でサイズが大きくなることが予想されるため、FPUに&br()載せることは不可能です。&br()&br()だからおそらく&br()(x ./ y) → (x *. ((invsqrt y) *. (invsqrt y)))&br()(sqrt x) → (invsqrt ((invsqrt x) *. (invsqrt x)))&br()のような感じになるかと思われます。&br()&br()OPCODEはFPU外部から下の仕様にあるFUNCの部分に4bit入力してもらうように&br()しています。命令セットのページにあるOPCODEでFPUでサポートしている命令の&br()OPCODEなら結果を規定のクロック後に出力し、それ以外なら&br()&quot;xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx&quot;&br()が出力されるようになっています。 -- tsuy (2007-03-03 21:01:24) - あ、了解です。&br()割り算にもinvsqrtが入りますか…、結構遅延が大きくなりそうですね。&br()FINVが入らないってことは、4bitずつ引き算っていうFDIVも入れるのは無理なのですよね?&br()&br()あと、FINVSQRTを使ってFDIVやSQRTを実現する場合、&br()FDIVやSQRTの精度の条件は満たされますか?&br()&br()何かいろいろと聞いてしまって申し訳ありません。&br()&br()あと、FPUのOPCODEの件、一応その4bit値がわかるように、&br()命令セットのページにでもまとめておいておいてもらえます?&br()アセンブラとシミュレータも多少変更する必要があるでしょうし。 -- buyobuyon (2007-03-03 21:54:35) - FDIVどころかFINVSQRT自体入る保証がなかったり…&br()パイプライン化しなくていいのなら余裕なんですけどね。&br()ちなみにFDIVはFINVSQRT10個分のサイズなので、使い物になりません。&br()&br()精度は…満たされないような気がしてきました。&br()FDIVとSQRTに使うFMULとFINVSQRTの誤差は最大で仮数部23bit目のずれ&br()なのはFPUのテストで分かっていて、FDIVもSQRTも4回これらが使われて&br()いるんですよね…少し考えて見ます&br()&br()OPCODEの件、命令セットのところに書かれているOPCODEをそのまま4bit&br()値にしているんですがそれをまとめるということですか? -- tsuy (2007-03-03 23:12:08) - 精度の件について&br()FDIVですが、&br()(x ./ y) → (x *. ((invsqrt y) *. (invsqrt y)))&br()を使うとすると、&br()(invsqrt y)=(y^(-1/2))*(1+2^(-23))&br()であり、&br()(invsqrt y) *. (invsqrt y)=(invsqrt y)*(invsqrt y)*(1+2^(-23))&br()なので&br()(invsqrt y) *. (invsqrt y)=(y^(-1/2))*(y^(-1/2))*((1+2^(-23))^3)&br()となりますよね?&br()さらにこれにxを掛けるので、真の値との誤差は&br()(1+2^(-23))^4 - 1&br()分になるものと思われます。&br()そしてこの値を計算すると&br()1+2^(-21)+2^(-44)+2^(-45)+2^(-67)+2^(-92)&br()となります。&br()ルールは確か2^(-20)だったと思いますが、これならぎりぎりセーフかと思います。&br()計算が違ったらすみません -- tsuy (2007-03-03 23:56:13) - SQRTについてですが、&br()(sqrt x) → (invsqrt ((invsqrt x) *. (invsqrt x)))&br()を使うものとして、&br()(invsqrt x) *. (invsqrt x)&br()部分の真の値をaとおきます。&br()上と同様に考えると、誤差を入れた値は&br()a*((1+2^(-23))^3)&br()となり、これにFINVSQRTを適用すると、誤差も入れて&br()[{a*((1+2^(-23))^3)}^(-1/2)]*(1+2^(-23))&br()={a^(-1/2)}*{(1+2^(-23))^(-1/2)}&br()となります。ルールでは確か2^(-20)だったかと思うので、&br()|1 - (1+2^(-23))^(-1/2)| < 2^(-20)&br()なら問題ないことになります。&br()実際計算してみると、同値変形で成立した不等式になったので、恐らく&br()問題ないかと思います。&br()&br()とは言っても計算に自信がないのですが、他の高速化した命令が正しいか&br()テストするときに一緒にテストしたほうがいいかな?&br()ついでに、というには時間と手間がかかるけれど。 -- tsuy (2007-03-04 00:19:38) - 大丈夫そうですね、それなら先ほどの式を使わせていただきます。&br()&br()ただ一つ気になったのが、FDIVやSQRTを求める際に(INV x)を求める段階で、&br()let t = (invsqrt x) in (t *. t)&br()とすると最初の演算の誤差が後の演算の2つのオペランドに影響しますが、&br()let t = (x *. x) in (invsqrt t)&br()とすると後の演算のオペランドが1つなので、&br()結果として浮動小数点数演算1つ分誤差が小さくなるような気がするのですが、どうですかね?&br()sqrtの入力が負の場合が未定義で良いのなら、ルール上は問題ないはずですし。&br()(また何か勘違いしているような気もしますが…)&br()&br()テストは余裕があればで良いと思いますよ。&br()結果的にレイトレが動けば良いわけですし(早く一度動かさないとね…)。&br()&br()あと、OPCODEの件、またまた私の勘違いでした。すみません。&br()FINVが無いなら、前に定義したもので足りるのでしたね…。 -- buyobuyon (2007-03-04 00:29:59) - 話が変わるのですが、floorの実装をライブラリの方でやっていただけますか?&br()よくよく考えると、ftoiしてからitofする方針だと、intの範囲を超える整数のfloat表現が&br()入力に入ったときに厄介ですし、&br()まじめにやるとなるとマクロというより関数という感じのサイズになってしまうもので。&br()一応、関数内で何をすればよいかはわかっている(つもりな)ので、&br()もし大変であれば私が実装しておきますが、どうしましょう? -- buyobuyon (2007-03-04 00:56:14) - 確かに&br()let t = (x *. x) in (invsqrt t)&br()の方が誤差が少なくなりますね。盲点(?)でした。&br()&br()そちらのやることもいっぱいあるようですし、floorの実装をさせていただきます。&br()ただ性能のよくないものを作ってしまってはいけないので、方針を教えていただけますか?&br()それからもう一つ、OCamlの文法で書いていいのかな? -- tsuy (2007-03-04 01:54:20) - すみません、お願いします。&br()&br()実装はOCaml文法で構いません。できれば、アップしたコンパイラで&br()コンパイルできる形にすぐ直せるよう、多相関数などの使用は控えてください。&br()あと、ftoiはint_of_floatという名前の一変数関数として、&br()itofはfloat_of_intという名前の一変数関数として、それぞれ実装しますので、&br()必要なら使ってください。&br()&br()ええと、実装方法についてあれから改めて考えてみたのですが、&br()floatの仮数部のsizeってsizeof(int)より断然小さいですよね。&br()そのため、floatで表された値がintで表現できる範囲を超えた場合というのは&br()もう既にfloatの仮数部に1を足したら(引いたら)float値は1以上変化する場合なので、&br()そのときにはそのまま引数を返してやればよいわけですよね。&br()&br()とすると、指数部がその境界となる値以上であったら引数をそのまま返し、&br()そうでなければ先ほど述べたように、&br()FTOI → ITOF → FLESS結果が真なら(int値に)-1 → ITOFというので&br()良いかなと思うのですが、どうでしょう?&br()たぶん、下手に指数部に応じてシフト・場合分けを繰り返すよりは&br()このようにFTOI→ITOFでごまかした方が速いような気がするのですが…、&br()あまりクロック数とか意識していなかったので、どうなんだろ?&br()&br()一応、上の方針だと2箇所だけ場合わけがありますが、2つ目に関しては、&br()真=int型の-1なので足し算で代用可能ですよね。&br()とは言っても、ML文法だとint値にbool値を足すようなことはできないので、&br()後で自作コンパイラでコンパイルして出力されたコードを一部書き換えるように&br()すれば良いと思います。&br()他のcosやatanなどもその方針で高速化できるものがあるかもしれませんし。&br()&br()あと、finvは結局let t = (x *. x) in (invsqrt t)の形を採用しました。 -- buyobuyon (2007-03-04 03:15:41) - なるほど分かりました。&br()&br()念のため確認しておくと、&br()入力xについて、&br()|x|≧2^(23)のとき、この浮動少数はすでに整数なのでそのまま返す&br()  こうすることでftoiでINT_MAXやINT_MINが返る問題を避ける&br()|x|<2^(23)のとき、y=itof(ftoi(x))とすると、yはxを四捨五入した&br()  ものになるので、x<yなら切り上げられているのでy-1を、&br()          x≧yなら切り捨てられているのでyを返す&br()ということでいいですよね?&br()&br()上の方で&br()>0.5引いて四捨五入、みたいなアバウトなことが許されるのであれば&br()>速くなりそうですが&br()とありますが、できないですかねー…&br()出来るとありがたいんですけどね -- tsuy (2007-03-04 16:24:53) - itof・ftoiによる方針、それで良いと思います。&br()&br()0.5引いて四捨五入も実はOKのような気がしてきたのですが…。&br()0.5が非常にきりの良い値なので、引き算したときに滅多に桁落ち(情報落ち?用語がわからない…)しませんから、&br()0.5の引き算で正しい値が求まる下限と上限の2つの境界で場合分けすれば、この方針でもいけますよね?&br()ただ、場合分けが1つ増えると命令は2つ増えるので、&br()結局命令数は上の方針と変わらないような気がします。&br()&br()あと、-0.5を四捨五入したときに0.0でなく-1.0になるように、&br()すなわち正負で対象になるように四捨五入が定義されていると、&br()後者の方針では正しい値は求まりませんね…。 -- buyobuyon (2007-03-04 17:15:28) - ftoiによる四捨五入は正負で対象となっているので、0.5引くのはよくない&br()ようですね。&br()&br()最初の方針で実装しようかと思います。&br()テストも含めて火曜日夜あたりまでにこのページに上げようかと思うのですが、&br()それで間に合いますよね? -- tsuy (2007-03-04 19:12:55) - あ、大丈夫だと思います。&br()というか、私が火曜日夜までWiki見られなくなりますので…。&br()一応こちらもテスト作業だけは進めておきます(できればある程度の最適化も).&br() -- buyobuyon (2007-03-04 21:01:06) - セフしさまさまだなwマジ天国www &br() &br()http://sersai%2ecom/hosakimenma/387978289 -- 大日にょ来 (2009-06-06 10:36:10) #comment(vsize=5,nsize=20,size=80)
**コンパイラ組み込み関数 tsuyさんのページにいろいろ書き込んでいるうちに書き込める量の限界に達してしまったので、 コンパイラに組み込んだ浮動小数点数演算関数に関して、以前私が書き込んだ部分を こちらに移動しました。 - ええと、ライブラリの件なのですが、&br()まず、浮動小数点数演算の&br()&br()atan : type(fun type(float) -> type(float))&br()cos : type(fun type(float) -> type(float))&br()sin : type(fun type(float) -> type(float))&br()&br()は完全にお任せします(とりあえずはもうできているのでしたよね)。&br()それから、&br()&br()int_of_float : type(fun type(float) -> type(int))&br()float_of_int : type(fun type(int) -> type(float))&br()fless : type(fun type(float) * type(float) -> type(bool))&br()&br()は、FPUで実装されているので、コンパイラの拡張ですぐ対応できます。&br()それから、&br()&br()fiszero : type(fun type(float) -> type(bool))&br()fispos : type(fun type(float) -> type(bool))&br()fisneg : type(fun type(float) -> type(bool))&br()fabs : type(fun type(float) -> type(float))&br()fneg : type(fun type(float) -> type(float))&br()fhalf : type(fun type(float) -> type(float))&br()fsqr : type(fun type(float) -> type(float))&br()floor : type(fun type(float) -> type(float))&br()&br()は、簡潔なので、私が入出力命令と一緒にアセンブリ言語で記述するなり、&br()コンパイラ内部での変換なりで対処しておきます。&br()それらに関して、ちょっと仕様の確認をしたいのですが、&br()fisposとfisnegは0レジスタとの比較で良いですよね。&br()fabs/fnegは基本的には最上位ビット0/反転で良いと思うのですが、&br()引数が0のときはどうしましょう?&br()今のFPUでは、いわゆる-0が入力された場合に結果はどうなります?&br()あと、fiszeroはfabs→0レジスタとの比較で、&br()fsqrは同じ値を引数としたfmulで、&br()floorはftoiとitofの合成で良いですよね。&br()fhalfは…、÷2.0にするのは遅すぎる…?&br()仮数部のみデクリメントってもっと厄介ですよね…。&br()&br()あと、浮動小数点数演算以外に関しては、&br()&br()xor : type(fun type(bool) * type(bool) -> type(bool))&br()read_float : type(fun type(unit) -> type(float))&br()read_int : type(fun type(unit) -> type(int))&br()print_int : type(fun type(int) -> type(unit))&br()&br()いずれも対応する命令が存在するので、コンパイラの拡張ですぐ対応できます。あと、&br()&br()print_char : type(fun type(int) -> type(unit))&br()&br()が何者だかいまいち把握していないのですが…。&br()wordアラインメントだからprint_intと一緒にするしかありませんよね…。 -- buyobuyon (2007-03-02 15:49:50) - fnegは0から引けばよかったのでしたね…。&br()コンパイラのアセンブリコード生成部分を作成したときにはそういう変換をしていたのに…。&br()&br()absは、もし最上位ビット反転という方針がだめな場合、&br()例えば、fnegしたものと、もとの値のandをとるという方針なら大丈夫ですよね? -- buyobuyon (2007-03-02 15:56:36) - もうひとつボケをかましていましたね…。&br()fhalfは÷2.0より×0.5ですね…。&br()&br()あともう1つ。現在、コンパイラでは、&br()fequalを通常の整数の比較で置き換えてしまっているのですが、&br()これは大丈夫ですよね? -- buyobuyon (2007-03-02 16:09:26) - >fabs/fnegは基本的には最上位ビット0/反転で良いと思うのですが、&br()>引数が0のときはどうしましょう?&br()>今のFPUでは、いわゆる-0が入力された場合に結果はどうなります?&br()FMULでは+0が出力されます。&br()FADD,FSUBでは+0と-0の区別はつけず、また、出力が0となる場合は必ず&br()+0になります。(多分…)&br()FLESSはご存知の通り-0<+0の判定に使います。&br()FTOIは+0の場合と処理は同じです。&br()FINVSQRTは非正規化数の処理がいらないということなので、挙動は未定義です。&br()FPUの出力の0はすべて+0となっているはずなので、FLESS絡みで問題がなければ&br()fabsは最上位ビット0でいいような気がします。&br()fisneg(-0.0)はtrueでいいんですかね? -- tsuy (2007-03-02 18:35:21) - >floorはftoiとitofの合成で良いですよね。&br()floorって確か小数点未満切捨てですよね?&br()FTOIの結果は小数点未満四捨五入としているので問題になる気がするのですが、&br()FPUのFTOIを切り捨てにしたほうがいいですかね?&br()(そっちのほうが速くなるので好都合だったりしますが)&br()&br()>print_char : type(fun type(int) -> type(unit))&br()>が何者だかいまいち把握していないのですが…。&br()すみません、僕もよく分かりません…。 -- tsuy (2007-03-02 18:42:40) - >absは、もし最上位ビット反転という方針がだめな場合、&br()>例えば、fnegしたものと、もとの値のandをとるという方針なら大丈夫ですよね?&br()なるほど、それなら大丈夫ですね。&br()&br()>fequalを通常の整数の比較で置き換えてしまっているのですが、&br()>これは大丈夫ですよね?&br()大丈夫だと思います。 -- tsuy (2007-03-02 18:54:22) - fequalの話で思いついたんですが、丸めを切捨てにしてしまったので、&br()(1.0/3.0)*3.0と1.0が等しくならないんですよね…&br()FMULだけでも丸めを切り上げにしたほうがいいだろうか… -- tsuy (2007-03-02 18:58:12) - なるほど…。+0.0と-0.0がきわどいですが、とりあえず、&br()&br()fiszero → rd := (rs AND 0x7fffffff) >. +0.0&br()fispos → rd := rs >. +0.0&br()fisneg → rd := rs <. -0.0&br()fabs → rd := rs AND 0x7fffffff&br()fneg → rd := +0.0 -. rs&br()fhalf → rd := rs *. +0.5&br()fsqr → rd := rs *. rs&br()&br()としてみます。&br()floorをどうするか…。&br()floorって切り捨てというよりも、~を超えない最大の整数を表すfloat値を&br()返す演算でしたよね、正負で非対称な…。&br()コンテストルールがあるので、ftoiの仕様を変えるわけにもいきませんし…。一応、&br()&br()floor → r1 := ftoi(rs); r1 := itof(r1); rd := rs <. r1; rd := itof(rd); rd := r1 +. rd&br()&br()とすると、正しい値が求まりそうですが(そうでもない?)、命令数が…。&br()あとは…、0.5引いて四捨五入、みたいなアバウトなことが許されるのであれば&br()速くなりそうですが…。何かいい方法はないですかね…。&br()&br()あと、FPUで提供する演算、やっぱりFINVSQRTではなくてFSQRTの方にしてもらえます?&br()たぶんその方が高速かつ楽なので(特にFINVSQRTをFSQRTとFDIVの合成として求めているのであればなおさら)。&br()FINVSQRTのライブラリでの実装も任意で良いようです。&br()何か、たくさん実装してもらった後で申し訳ないのですが…。 -- buyobuyon (2007-03-02 20:30:25) - FSQRTですか…&br()以前開平法で実装したものはサイズ、速度ともに実用的ではなかったので、&br()また一から作ることになると思いますが、コンテストまでに間に合うだろうか…&br()それからサイズの方も、今のFINVSQRTを超えると完全にオーバーしてしまう&br()という不安があります。&br()&br()しかしどうやって実装しよう… -- tsuy (2007-03-02 22:08:00) - >FINVSQRTをFSQRTとFDIVの合成として求めているのであればなおさら&br()すみません、これはどういうことでしょうか? -- tsuy (2007-03-02 22:12:34) - FPUにFSQRTをのせる場合、逆数や割り算はどうなります?&br()ライブラリで作ったINVでは少々遅いので、やはりFPUには&br()FINVSQRTがいいような気がしないでもないのですが… -- tsuy (2007-03-03 15:56:18) - >>FINVSQRTをFSQRTとFDIVの合成として求めているのであればなおさら&br()>すみません、これはどういうことでしょうか?&br()あれ?私、何か勘違いしていました?&br()↓に&br()>・FINVSQRT &br()> -SQRTとFDIVで計算 &br()と書いてあったので、&br()FINVSQRTは、内部でFSQRTとFDIVを組み合わせているだけなのかなと思ったのですが。&br()だとすれば、FSQRTの方がFINVSQRTよりは速いわけですよね。&br()&br()min-rtでは、SQRTのみ使用されていて、INVとINVSQRTは直接使用されてはいないので、&br()SQRTではなくINVSQRTがFPUで提供された場合には、&br()コンパイラ内部では、&quot;1 ./ SQRT(x)&quot;をINVSQRT(x)に変換し、&br()それ以外の&quot;SQRT(x)&quot;をINV(INVSQRT(x))に変換することで高速化を図るわけですが、&br()よくよくmin-rtを見ると、&br()&quot;1 ./ SQRT(x)&quot;を求める場合でも、直前or直後に別の用途で&br()&quot;SQRT(x)&quot;を使用している場合が多いのですよ。&br()例えば、min-rtには、&br()&br() let l = sqrt (fsqr v.(0) +. fsqr v.(1) +. fsqr v.(2)) in&br() let il = if fiszero l then 1.0 else if inv then -1.0 /. l else 1.0 /. l in …&br()&br()という部分があって、まぁfiszero lは、fiszero (fsqr v.(0) +. fsqr v.(1) +. fsqr v.(2))に変えても&br()一般的に問題はないはずですが、一応意味の違う文面ですし、またコンテストルールに&br()&br()>非最適化実行の場合と同一オペランドの浮動小数点演算が&br()>同一回数行われなければならない。ただし、(2)については定数&br()>割り算最適化(後述)、冗長性除去、定数畳み込みは例外とする&br()&br()とあるので、この変換はちょっときわどいかなと思いまして。&br()&br()とすると、結局INVSQRTしたものだけではなくSQRTしたものも求める必要があります。&br()&br()INVSQRT(x)とSQRT(x)の両方を求める必要があるとしたら&br()SQRTがFPUで求まったいた方が速く(速いですよね?)、&br()またプログラムの文面そのままで変換すれば良いので私の方も楽にはなるのですが…。&br()&br()あ、でも、上の話はFPUでFINVSQRTは内部でFSQRTとFDIVを組み合わせることで実装している、というのを前提にしていたので、&br()そうでないのであれば実装し直すのも大変ですし、このままFINVSQRTでやってみます。 -- buyobuyon (2007-03-03 17:14:42) - なるほど、分かりました。&br()&br()下のほうでFSQRTとFDIVの合成となっているのは、11月にそのようにして実装したものが&br()実用的な性能ではなかったのでニュートン法で実装しなおしたという経緯があるのですが、&br()その際にwikiの記述を直し忘れていたという私のミスです。すみませんでした。&br()&br()FSQRTは開平法では前述の通り性能が悪く、ニュートン法では割り算をする必要があるため&br()どうしても遅くなるので、ニュートン法のFINVSQRTを入れたほうが良いよねというお話を&br()した記憶があります。また、FSQRTを採用するとFPUのサイズ的にINVをライブラリで実現&br()せざるをえないと思うのですが、それだとやはり遅くなる気がします。FINVSQRTを使えば&br()その結果を2つ掛けるだけですし。&br()&br()時間的余裕があればFSQRTもやってみたいのですが2週間では多分無理なので、FINVSQRT&br()を使う方向でお願いします。 -- tsuy (2007-03-03 19:04:26) - あ、了解です。&br()私が勘違いしていただけなので、FSQRTは実装し直さなくて良いですよ。&br()&br()なら、結論として、&br()FPUで実装されるのは、FADD/FSUB/FMUL/FINV/FINVSQRT/FTOI/ITOF/FLESSの8つで、&br()コンパイラの方では、&br()&br()(x ./ y) → (x *. (inv y))&br()(sqrt x) → (inv (invsqrt x))&br()&br()とし、(実装する時間があれば)最適化のステップで&br()&br()(inv (inv x)) → x&br()&br()という変換をすれば良いのですよね。&br()&br()コンパイラは直接の関係はないですが、OPCODEってどうなってます? -- buyobuyon (2007-03-03 19:36:24) - FPUで実装されるのはFADD/FSUB/FMUL/FINVSQRT/FTOI/ITOF/FLESSの7つです。&br()上のほうで誤解させてしまう書き方をしてしまいましたね。すみません。&br()&br()FINVは、すぐ下にあるとおり基盤の18×18の乗算器の数が足りないというのと、&br()他の命令のパイプライン化でサイズが大きくなることが予想されるため、FPUに&br()載せることは不可能です。&br()&br()だからおそらく&br()(x ./ y) → (x *. ((invsqrt y) *. (invsqrt y)))&br()(sqrt x) → (invsqrt ((invsqrt x) *. (invsqrt x)))&br()のような感じになるかと思われます。&br()&br()OPCODEはFPU外部から下の仕様にあるFUNCの部分に4bit入力してもらうように&br()しています。命令セットのページにあるOPCODEでFPUでサポートしている命令の&br()OPCODEなら結果を規定のクロック後に出力し、それ以外なら&br()&quot;xxxxxxxxxxxxxxxxxxxxxxxxxxxxxxxx&quot;&br()が出力されるようになっています。 -- tsuy (2007-03-03 21:01:24) - あ、了解です。&br()割り算にもinvsqrtが入りますか…、結構遅延が大きくなりそうですね。&br()FINVが入らないってことは、4bitずつ引き算っていうFDIVも入れるのは無理なのですよね?&br()&br()あと、FINVSQRTを使ってFDIVやSQRTを実現する場合、&br()FDIVやSQRTの精度の条件は満たされますか?&br()&br()何かいろいろと聞いてしまって申し訳ありません。&br()&br()あと、FPUのOPCODEの件、一応その4bit値がわかるように、&br()命令セットのページにでもまとめておいておいてもらえます?&br()アセンブラとシミュレータも多少変更する必要があるでしょうし。 -- buyobuyon (2007-03-03 21:54:35) - FDIVどころかFINVSQRT自体入る保証がなかったり…&br()パイプライン化しなくていいのなら余裕なんですけどね。&br()ちなみにFDIVはFINVSQRT10個分のサイズなので、使い物になりません。&br()&br()精度は…満たされないような気がしてきました。&br()FDIVとSQRTに使うFMULとFINVSQRTの誤差は最大で仮数部23bit目のずれ&br()なのはFPUのテストで分かっていて、FDIVもSQRTも4回これらが使われて&br()いるんですよね…少し考えて見ます&br()&br()OPCODEの件、命令セットのところに書かれているOPCODEをそのまま4bit&br()値にしているんですがそれをまとめるということですか? -- tsuy (2007-03-03 23:12:08) - 精度の件について&br()FDIVですが、&br()(x ./ y) → (x *. ((invsqrt y) *. (invsqrt y)))&br()を使うとすると、&br()(invsqrt y)=(y^(-1/2))*(1+2^(-23))&br()であり、&br()(invsqrt y) *. (invsqrt y)=(invsqrt y)*(invsqrt y)*(1+2^(-23))&br()なので&br()(invsqrt y) *. (invsqrt y)=(y^(-1/2))*(y^(-1/2))*((1+2^(-23))^3)&br()となりますよね?&br()さらにこれにxを掛けるので、真の値との誤差は&br()(1+2^(-23))^4 - 1&br()分になるものと思われます。&br()そしてこの値を計算すると&br()1+2^(-21)+2^(-44)+2^(-45)+2^(-67)+2^(-92)&br()となります。&br()ルールは確か2^(-20)だったと思いますが、これならぎりぎりセーフかと思います。&br()計算が違ったらすみません -- tsuy (2007-03-03 23:56:13) - SQRTについてですが、&br()(sqrt x) → (invsqrt ((invsqrt x) *. (invsqrt x)))&br()を使うものとして、&br()(invsqrt x) *. (invsqrt x)&br()部分の真の値をaとおきます。&br()上と同様に考えると、誤差を入れた値は&br()a*((1+2^(-23))^3)&br()となり、これにFINVSQRTを適用すると、誤差も入れて&br()[{a*((1+2^(-23))^3)}^(-1/2)]*(1+2^(-23))&br()={a^(-1/2)}*{(1+2^(-23))^(-1/2)}&br()となります。ルールでは確か2^(-20)だったかと思うので、&br()|1 - (1+2^(-23))^(-1/2)| < 2^(-20)&br()なら問題ないことになります。&br()実際計算してみると、同値変形で成立した不等式になったので、恐らく&br()問題ないかと思います。&br()&br()とは言っても計算に自信がないのですが、他の高速化した命令が正しいか&br()テストするときに一緒にテストしたほうがいいかな?&br()ついでに、というには時間と手間がかかるけれど。 -- tsuy (2007-03-04 00:19:38) - 大丈夫そうですね、それなら先ほどの式を使わせていただきます。&br()&br()ただ一つ気になったのが、FDIVやSQRTを求める際に(INV x)を求める段階で、&br()let t = (invsqrt x) in (t *. t)&br()とすると最初の演算の誤差が後の演算の2つのオペランドに影響しますが、&br()let t = (x *. x) in (invsqrt t)&br()とすると後の演算のオペランドが1つなので、&br()結果として浮動小数点数演算1つ分誤差が小さくなるような気がするのですが、どうですかね?&br()sqrtの入力が負の場合が未定義で良いのなら、ルール上は問題ないはずですし。&br()(また何か勘違いしているような気もしますが…)&br()&br()テストは余裕があればで良いと思いますよ。&br()結果的にレイトレが動けば良いわけですし(早く一度動かさないとね…)。&br()&br()あと、OPCODEの件、またまた私の勘違いでした。すみません。&br()FINVが無いなら、前に定義したもので足りるのでしたね…。 -- buyobuyon (2007-03-04 00:29:59) - 話が変わるのですが、floorの実装をライブラリの方でやっていただけますか?&br()よくよく考えると、ftoiしてからitofする方針だと、intの範囲を超える整数のfloat表現が&br()入力に入ったときに厄介ですし、&br()まじめにやるとなるとマクロというより関数という感じのサイズになってしまうもので。&br()一応、関数内で何をすればよいかはわかっている(つもりな)ので、&br()もし大変であれば私が実装しておきますが、どうしましょう? -- buyobuyon (2007-03-04 00:56:14) - 確かに&br()let t = (x *. x) in (invsqrt t)&br()の方が誤差が少なくなりますね。盲点(?)でした。&br()&br()そちらのやることもいっぱいあるようですし、floorの実装をさせていただきます。&br()ただ性能のよくないものを作ってしまってはいけないので、方針を教えていただけますか?&br()それからもう一つ、OCamlの文法で書いていいのかな? -- tsuy (2007-03-04 01:54:20) - すみません、お願いします。&br()&br()実装はOCaml文法で構いません。できれば、アップしたコンパイラで&br()コンパイルできる形にすぐ直せるよう、多相関数などの使用は控えてください。&br()あと、ftoiはint_of_floatという名前の一変数関数として、&br()itofはfloat_of_intという名前の一変数関数として、それぞれ実装しますので、&br()必要なら使ってください。&br()&br()ええと、実装方法についてあれから改めて考えてみたのですが、&br()floatの仮数部のsizeってsizeof(int)より断然小さいですよね。&br()そのため、floatで表された値がintで表現できる範囲を超えた場合というのは&br()もう既にfloatの仮数部に1を足したら(引いたら)float値は1以上変化する場合なので、&br()そのときにはそのまま引数を返してやればよいわけですよね。&br()&br()とすると、指数部がその境界となる値以上であったら引数をそのまま返し、&br()そうでなければ先ほど述べたように、&br()FTOI → ITOF → FLESS結果が真なら(int値に)-1 → ITOFというので&br()良いかなと思うのですが、どうでしょう?&br()たぶん、下手に指数部に応じてシフト・場合分けを繰り返すよりは&br()このようにFTOI→ITOFでごまかした方が速いような気がするのですが…、&br()あまりクロック数とか意識していなかったので、どうなんだろ?&br()&br()一応、上の方針だと2箇所だけ場合わけがありますが、2つ目に関しては、&br()真=int型の-1なので足し算で代用可能ですよね。&br()とは言っても、ML文法だとint値にbool値を足すようなことはできないので、&br()後で自作コンパイラでコンパイルして出力されたコードを一部書き換えるように&br()すれば良いと思います。&br()他のcosやatanなどもその方針で高速化できるものがあるかもしれませんし。&br()&br()あと、finvは結局let t = (x *. x) in (invsqrt t)の形を採用しました。 -- buyobuyon (2007-03-04 03:15:41) - なるほど分かりました。&br()&br()念のため確認しておくと、&br()入力xについて、&br()|x|≧2^(23)のとき、この浮動少数はすでに整数なのでそのまま返す&br()  こうすることでftoiでINT_MAXやINT_MINが返る問題を避ける&br()|x|<2^(23)のとき、y=itof(ftoi(x))とすると、yはxを四捨五入した&br()  ものになるので、x<yなら切り上げられているのでy-1を、&br()          x≧yなら切り捨てられているのでyを返す&br()ということでいいですよね?&br()&br()上の方で&br()>0.5引いて四捨五入、みたいなアバウトなことが許されるのであれば&br()>速くなりそうですが&br()とありますが、できないですかねー…&br()出来るとありがたいんですけどね -- tsuy (2007-03-04 16:24:53) - itof・ftoiによる方針、それで良いと思います。&br()&br()0.5引いて四捨五入も実はOKのような気がしてきたのですが…。&br()0.5が非常にきりの良い値なので、引き算したときに滅多に桁落ち(情報落ち?用語がわからない…)しませんから、&br()0.5の引き算で正しい値が求まる下限と上限の2つの境界で場合分けすれば、この方針でもいけますよね?&br()ただ、場合分けが1つ増えると命令は2つ増えるので、&br()結局命令数は上の方針と変わらないような気がします。&br()&br()あと、-0.5を四捨五入したときに0.0でなく-1.0になるように、&br()すなわち正負で対象になるように四捨五入が定義されていると、&br()後者の方針では正しい値は求まりませんね…。 -- buyobuyon (2007-03-04 17:15:28) - ftoiによる四捨五入は正負で対象となっているので、0.5引くのはよくない&br()ようですね。&br()&br()最初の方針で実装しようかと思います。&br()テストも含めて火曜日夜あたりまでにこのページに上げようかと思うのですが、&br()それで間に合いますよね? -- tsuy (2007-03-04 19:12:55) - あ、大丈夫だと思います。&br()というか、私が火曜日夜までWiki見られなくなりますので…。&br()一応こちらもテスト作業だけは進めておきます(できればある程度の最適化も).&br() -- buyobuyon (2007-03-04 21:01:06) #comment(vsize=5,nsize=20,size=80)

表示オプション

横に並べて表示:
変化行の前後のみ表示: